Temperature management for heterogeneous multi-core FPGAs using adaptive evolutionary multi-objective approaches

Heterogeneous multi-core FPGAs contain different types of cores, which can improve efficiency when used with an effective online task scheduler. However, it is not easy to find the right cores for tasks when there are multiple objectives or dozens of cores. Inappropriate scheduling may cause hot spots which decrease the reliability of the chip. Given that, our research builds a simulating platform to evaluate all kinds of scheduling algorithms on a variety of architectures. On this platform, we provide an online scheduler which uses multi-objective evolutionary algorithm (EA). Comparing the EA and current algorithms such as Predictive Dynamic Thermal Management (PDTM) and Adaptive Temperature Threshold Dynamic Thermal Management (ATDTM), we find some drawbacks in previous work. First, current algorithms are overly dependent on manually set constant parameters. Second, those algorithms neglect optimization for heterogeneous architectures. Third, they use single-objective methods, or use linear weighting method to convert a multi-objective optimization into a single-objective optimization. Unlike other algorithms, the EA is adaptive and does not require resetting parameters when workloads switch from one to another. EAs also improve performance when used on heterogeneous architecture. A efficient Pareto front can be obtained with EAs for the purpose of multiple objectives.

[1]  Tommy Kuhn,et al.  Prevention of Hot Spot Development on Coarse-Grained Dynamically Reconfigurable Architectures , 2009, 2009 International Conference on Reconfigurable Computing and FPGAs.

[2]  Eun Jung Kim,et al.  Temperature-aware scheduler based on thermal behavior grouping in multicore systems , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[3]  Michael J. Black,et al.  A Quantitative Evaluation of Video-based 3D Person Tracking , 2005, 2005 IEEE International Workshop on Visual Surveillance and Performance Evaluation of Tracking and Surveillance.

[4]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Tajana Simunic,et al.  Temperature Aware Dynamic Workload Scheduling in Multisocket CPU Servers , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  F. Black,et al.  The Pricing of Options and Corporate Liabilities , 1973, Journal of Political Economy.

[7]  Martin J. Oates,et al.  PESA-II: region-based selection in evolutionary multiobjective optimization , 2001 .

[8]  Hamid Noori,et al.  Proactive task migration with a self-adjusting migration threshold for dynamic thermal management of multi-core processors , 2014, The Journal of Supercomputing.

[9]  Kevin Skadron,et al.  Recent thermal management techniques for microprocessors , 2012, CSUR.

[10]  Sudipto Guha,et al.  Streaming-data algorithms for high-quality clustering , 2002, Proceedings 18th International Conference on Data Engineering.

[11]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[12]  Hugo de Garis,et al.  A Dynamic Multi-Objective Evolutionary Algorithm Based on an Orthogonal Design , 2006, 2006 IEEE International Conference on Evolutionary Computation.

[13]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[14]  DebK.,et al.  A fast and elitist multiobjective genetic algorithm , 2002 .

[15]  Diana Marculescu,et al.  A learning-based autoregressive model for fast transient thermal analysis of chip-multiprocessors , 2012, 17th Asia and South Pacific Design Automation Conference.

[16]  Gösta Grahne,et al.  Efficiently Using Prefix-trees in Mining Frequent Itemsets , 2003, FIMI.

[17]  Marco Laumanns,et al.  SPEA2: Improving the strength pareto evolutionary algorithm , 2001 .

[18]  J. Hoe,et al.  OpenSPARC : An Open Platform for Hardware Reliability Experimentation , 2008 .

[19]  Ivo Bolsens,et al.  Proceedings of the conference on Design, Automation & Test in Europe , 2000 .

[21]  Tulika Mitra,et al.  Bahurupi: A polymorphic heterogeneous multi-core architecture , 2012, TACO.

[22]  Kay Chen Tan,et al.  A Competitive-Cooperative Coevolutionary Paradigm for Dynamic Multiobjective Optimization , 2009, IEEE Transactions on Evolutionary Computation.

[23]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[24]  Eun Jung Kim,et al.  Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[25]  Kalyanmoy Deb,et al.  A fast and elitist multiobjective genetic algorithm: NSGA-II , 2002, IEEE Trans. Evol. Comput..

[26]  Prithviraj Banerjee Parallel algorithms for VLSI computer-aided design , 1994 .