W. Luk

发表

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

W. Luk, G. Constantinides, P. Cheung, 1999 .

W. Luk, G. Constantinides, P. Cheung, 1999 .

W. Luk, K. H. Tsoi, 2010, FPGA '10.

Wayne Luk, Peter Y. K. Cheung, Dong-U Lee, 2003, 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2003. FCCM 2003..

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2005, Design, Automation and Test in Europe.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

Wayne Luk, Dong-U Lee, Altaf Abdul Gaffar, 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

Wayne Luk, Dong-U Lee, Altaf Abdul Gaffar, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Wayne Luk, Peter Y. K. Cheung, Tero Rissa, 2004, FPL.

Wayne Luk, James Stanley Targett, Peter Y. K. Cheung, 2014, FCCM 2014.

Wayne Luk, Paul H J Kelly, W. Luk, 2008 .

Wayne Luk, Peter Y. K. Cheung, Tobias Becker, 2007, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007).

Wayne Luk, David B. Thomas, Anson H. T. Tse, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Adam Donlin, Tero Rissa, 2005, Design, Automation and Test in Europe.

Wayne Luk, Oskar Mencer, José Gabriel F. Coutinho, 2008, 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation.

Wayne Luk, Philip H. W. Leong, Chi Wai Yu, 2008 .

Wayne Luk, Peter Y. K. Cheung, Ben Cope, 2005 .

Wayne Luk, W. Luk, 2012, International Conference on Field-Programmable Technology.

Wayne Luk, Michael J. Flynn, M. Flynn, 2011 .

Wayne Luk, Michael J. Flynn, M. Flynn, 2011 .

Wayne Luk, Michael J. Flynn, M. Flynn, 2011 .

Wayne Luk, Duncan A. Buell, W. Luk, 1996, J. VLSI Signal Process..

Daniel Rueckert, Wayne Luk, Kuen Hung Tsoi, 2009, 2009 5th Southern Conference on Programmable Logic (SPL).

Yue Chen, Wayne Luk, Kit-Hang Lee, 2015, 2015 IEEE International Conference on Robotics and Automation (ICRA).

Wayne Luk, Geraint Jones, W. Luk, 1988 .

Wayne Luk, Haohuan Fu, Robert G. Clapp, 2009, EURASIP J. Embed. Syst..

Wayne Luk, Michael J. Flynn, M. Flynn, 2011 .

Wayne Luk, Jeffrey Arnold, Kenneth L. Pocek, 2000, J. VLSI Signal Process..

W. Luk, K. H. Tsoi, E. Nurvitadhi, 2022, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

W. Luk, K. H. Tsoi, E. Nurvitadhi, 2022, ACM Transactions on Reconfigurable Technology and Systems.

Shane T. Fleming, David B. Thomas, W. Luk, 2014 .

Thomas C. P. Chau, Stylianos I. Venieris, W. Luk, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

W. Luk, A. Tapper, Zhiqiang Que, 2022, 2022 International Conference on Field-Programmable Technology (ICFPT).

Wayne Luk, Peter Y. K. Cheung, Manfred Glesner, 1995, Lecture Notes in Computer Science.

W. Luk, A. Rafiev, J. Beaumont, 2022, IET Computers & Digital Techniques.

W. Luk, Zhiqiang Que, Qianzhou Wang, 2022, HEART.

Bowen P. Y. Kwan, Chun-wing Martin Leong, 梁晉穎, 2021, International Journal of Computer Assisted Radiology and Surgery.

Wayne Luk, Philip Heng Wai Leong, Gary Chun Tak Chow, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Daniel Kuhn, Wayne Luk, Wolfram Wiesemann, 2012, INFORMS J. Comput..

Wayne Luk, Philip Heng Wai Leong, Yuet Ming Lam, 2010, Int. J. Reconfigurable Comput..

Wayne Luk, Philippos Papaphilippou, W. Luk, 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, David B. Thomas, Brahim Betkaoui, 2010, 2010 International Conference on Field-Programmable Technology.

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Wayne Luk, Steven J. E. Wilton, Peter Y. K. Cheung, 2005 .

Wayne Luk, Oskar Mencer, David J. Pearce, 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

Wayne Luk, David B. Thomas, David B. Thomas, 2002, SPIE ITCom.

W. Luk, Zhiqiang Que, Hongxiang Fan, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wayne Luk, Xinyu Niu, Peter Y. K. Cheung, 2019, ACM Comput. Surv..

Wayne Luk, David B. Thomas, Marisa López-Vallejo, 2008, ARC.

Wayne Luk, Peter Y. K. Cheung, Altaf Abdul Gaffar, 2002, FPL.

Wayne Luk, Henry Styles, W. Luk, 2004, IEEE Transactions on Computers.

Wayne Luk, Georgi Gaydadjiev, Uwe Oelfke, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Wayne Luk, Simon R. Schultz, Philip H. W. Leong, 2009, 2009 International Conference on Field-Programmable Technology.

Wayne Luk, Pedro C. Diniz, João M. P. Cardoso, 2012, AOSD '12.

Wayne Luk, Peter D. Düben, Xinyu Niu, 2017, Comput. Phys. Commun..

Wayne Luk, Peter Y. K. Cheung, Altaf Abdul Gaffar, 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 1999, FPL.

Wayne Luk, Georgi Gaydadjiev, Stephen Girdlestone, 2017, Journal of chemical theory and computation.

Wayne Luk, Xitian Fan, Lingli Wang, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, David Cox, Kuen Hung Tsoi, 2010, 2010 International Conference on Field-Programmable Technology.

Wayne Luk, Leibo Liu, Shouyi Yin, 2018, Neurocomputing.

Guangwen Yang, Wayne Luk, Teng Yu, 2016, 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Wayne Luk, Eddie Hung, Pavel Burovskiy, 2015, 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Pavel Burovskiy, Paul Grigoras, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Peter Y. K. Cheung, Dong-U Lee, 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

Wayne Luk, Xinyu Niu, Ruizhe Zhao, 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2010, Integr..

Wayne Luk, Shuanglong Liu, Ho-Cheung Ng, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

W. Luk, K. H. Tsoi, E. Nurvitadhi, 2022, ACM Trans. Reconfigurable Technol. Syst..

W. Luk, K. H. Tsoi, E. Nurvitadhi, 2020, International Conference on Field-Programmable Technology.

Yongxin Zhu, Zhiqiang Que, Hongxiang Fan, 2020, Journal of Signal Processing Systems.

Yongxin Zhu, Zhiqiang Que, Xinyu Niu, 2019, 2019 International Conference on Field-Programmable Technology (ICFPT).

Li Tian, Yongxin Zhu, Wayne Luk, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

T. Wiangtong, P.Y.K. Cheung, W. Luk, 2005, IEEE Signal Processing Magazine.

Theerayod Wiangtong, Wayne Luk, W. Luk, 2005 .

Wayne Luk, Zhiqiang Que, Hongxiang Fan, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Zhiqiang Que, Hongxiang Fan, Xinyu Niu, 2020, 2020 IEEE 38th International Conference on Computer Design (ICCD).

Wayne Luk, Hongxiang Fan, Cheng Luo, 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Wayne Luk, Hongxiang Fan, Xinyu Niu, 2018, ACM Trans. Reconfigurable Technol. Syst..

Wayne Luk, Xinyu Niu, Oliver Pell, 2013, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors.

J. G. F. Coutinho, W. Luk, Z. Petrov, 2013, 2013 IEEE Aerospace Conference.

Wayne Luk, Pedro C. Diniz, João M. P. Cardoso, 2012, 2012 15th Euromicro Conference on Digital System Design.

Wayne Luk, Lars Braun, Georgi Kuzmanov, 2011 .

Wayne Luk, John O'Leary, Geoffrey Brown, 1994, Formal Aspects of Computing.

Weijia Li, Wayne Luk, Haohuan Fu, 2017, 2017 International Conference on Field Programmable Technology (ICFPT).

Stephen Muggleton, Wayne Luk, Andreas Fidjeland, 2008, BCS Int. Acad. Conf..

Wayne Luk, Andreas Fidjeland, A. Fidjeland, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Andreas Fidjeland, A. Fidjeland, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Wayne Luk, Andreas Fidjeland, A. Fidjeland, 2005, 2005 IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05).

Wayne Luk, Andreas Fidjeland, A. Fidjeland, 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

Stephen Muggleton, Wayne Luk, Andreas Fidjeland, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

Wayne Luk, Peiyong Jiang, James Arram, 2017, IEEE/ACM Transactions on Computational Biology and Bioinformatics.

Wayne Luk, Sherif Yusuf, W. Luk, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Wayne Luk, Qiang Liu, George A. Constantinides, 2012, IEEE Transactions on Computers.

Wayne Luk, Qiang Liu, George A. Constantinides, 2010, Journal of Signal Processing Systems.

Wayne Luk, Qiang Liu, George A. Constantinides, 2010, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools.

Wayne Luk, Qiang Liu, George A. Constantinides, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Qiang Liu, George A. Constantinides, 2009, 2009 International Conference on Field-Programmable Technology.

Wayne Luk, Qiang Liu, George A. Constantinides, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Günhan Dündar, Can C. Özturan, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

W. Luk, G. Constantinides, T. Todman, 2012, J. Signal Process. Syst..

Wayne Luk, Qiang Liu, Tim Todman, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Wayne Luk, George A. Constantinides, Edward A. Stott, 2015, FPGA.

Wayne Luk, Peter R. Pietzuch, Stephen Wray, 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2005 .

Wayne Luk, Matthew Aubury, W. Luk, 1996, J. VLSI Signal Process..

Wayne Luk, Peter Y. K. Cheung, Nabeel Shirazi, 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

Steve McKeever, Wayne Luk, Arran Derbyshire, 2003, 36th Annual Hawaii International Conference on System Sciences, 2003. Proceedings of the.

Wayne Luk, Ray C. C. Cheung, Nicolas Telle, 2004, SAMOS.

Guang-Zhong Yang, Wayne Luk, Benny P. L. Lo, 2007, J. VLSI Signal Process..

Wayne Luk, Shuanglong Liu, W. Luk, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Zhiqiang Que, Hongxiang Fan, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Hideharu Amano, Wayne Luk, Tobias Becker, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, Zhiqiang Que, Erwei Wang, 2021, 2021 IEEE 32nd International Conference on Application-specific Systems, Architectures and Processors (ASAP).

M. Pierini, W. Luk, A. Tapper, 2022, 2022 32nd International Conference on Field-Programmable Logic and Applications (FPL).

W. Luk, Zhiqiang Que, Marcus Loo, 2022, 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS).

W. Luk, Zhiqiang Que, Hongxiang Fan, 2022, International Symposium on Circuits and Systems.

Zhiqiang Que, Hiroki Nakahara, Wayne Luk, 2020, 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Zhiqiang Que, Hiroki Nakahara, Eriko Nurvitadhi, 2020, 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Wayne Luk, Takashi Takenaka, Hiroaki Inoue, 2014, 2014 IEEE 25th International Conference on Application-Specific Systems, Architectures and Processors.

Wayne Luk, Peter D. Düben, Francis P. Russell, 2015, Journal of advances in modeling earth systems.

Wayne Luk, Peter D. Düben, Xinyu Niu, 2015, 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Xinyu Niu, Peter Y. K. Cheung, 2015, TRETS.

Wayne Luk, Peter Y. K. Cheung, Dong-U Lee, 2003, FPL.

Wayne Luk, Zhiqiang Que, Xinyu Niu, 2021, J. Syst. Archit..

S. Wilton, W. Luk, Zhiqiang Que, 2020, 2020 International Conference on Field-Programmable Technology (ICFPT).

Zhiqiang Que, Wayne Luk, Steven J. E. Wilton, 2019, 2019 International Conference on Field-Programmable Technology (ICFPT).

Zhiqiang Que, Wayne Luk, Daniel Holanda Noronha, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Wayne Luk, Steven J. E. Wilton, Jeffrey B. Goeders, 2019, FPGA.

Wayne Luk, Xinyu Niu, Ruizhe Zhao, 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Michael J. Flynn, M. Flynn, 2011 .

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Oskar Mencer, Per Haglund, 2003, Engineering of Reconfigurable Systems and Algorithms.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2003, FPL.

Theerayod Wiangtong, Wayne Luk, Peter Y. K. Cheung, 2002, Des. Autom. Embed. Syst..

Leandro Soares Indrusiak, Kartikeya Bhardwaj, Pravin S. Mane, 2013, Reconfigurable Communication-centric Systems-on-Chip.

Wayne Luk, Zhiqiang Que, Hongxiang Fan, 2021, 2021 International Conference on Field-Programmable Technology (ICFPT).

W. Luk, Hongxiang Fan, Xinyu Niu, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Wayne Luk, Shaori Guo, W. Luk, 2001, Journal of systems architecture.

Wayne Luk, Tim Todman, W. Luk, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Suhaib A. Fahmy, Peter Y. K. Cheung, 2009, IET Comput. Digit. Tech..

Steve McKeever, Wayne Luk, W. Luk, 2006, Formal Aspects of Computing.

Wayne Luk, Oliver Pell, W. Luk, 2005, 2005 International Conference on Reconfigurable Computing and FPGAs (ReConFig'05).

W. Luk, S. Guo, W. Luk, 1997, Conference Record of the Thirty-First Asilomar Conference on Signals, Systems and Computers (Cat. No.97CB36136).

Wayne Luk, Shaori Guo, Nabeel Shirazi, 1996, FPL.

Wayne Luk, Shaori Guo, W. Luk, 1996, Other Conferences.

Wayne Luk, Shaori Guo, W. Luk, 1995, FPL.

Wayne Luk, Teddy Wu, W. Luk, 1994, Third International Workshop on Hardware/Software Codesign.

Penny Probert Smith, Wayne Luk, Shaori Guo, 1994, Proceedings of the 1994 IEEE International Conference on Robotics and Automation.

W. W. C. Luk, W. Luk, 1993, Integr..

Mary Sheeran, Wayne Luk, Geraint Jones, 1990 .

Wayne Luk, W. Luk, 1990, [1990] Proceedings of the International Conference on Application Specific Array Processors.

Wayne Luk, W. Luk, Scott Guo, 1997 .

Wayne Luk, W. Luk, 1991 .

Wayne Luk, Zhiqiang Que, Hongxiang Fan, 2021, 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC).

W. Luk, Hongxiang Fan, Xinyu Niu, 2021, IEEE Transactions on Neural Networks and Learning Systems.

Wayne Luk, Ce Guo, W. Luk, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Wayne Luk, Alex J. W. Thom, Salvatore Cardamone, 2019, International Journal of Quantum Chemistry.

Wayne Luk, Peiyong Jiang, James Arram, 2015, FPGA.

Chao Yang, Guangwen Yang, Wayne Luk, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Guangwen Yang, Wayne Luk, Lin Gan, 2017, Adv. Comput..

Wayne Luk, Jun Jiang, José Gabriel F. Coutinho, 2005, 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'05).

Wayne Luk, José Gabriel F. Coutinho, W. Luk, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

Wayne Luk, Markus Weinhardt, Jose Gabrial Figueiredo Coutinho, 2002, SPIE ITCom.

Wayne Luk, Kit-Hang Lee, Ka-Wai Kwok, 2018, IEEE/ASME Transactions on Mechatronics.

Guang-Zhong Yang, Wayne Luk, Kuen Hung Tsoi, 2013, IEEE Transactions on Robotics.

Wayne Luk, Peter Y. K. Cheung, Wim J. C. Melis, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Eriko Nurvitadhi, Wayne Luk, Tim Todman, 2020, 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Hideharu Amano, Wayne Luk, Ana Lucia Varbanescu, 2021, ACM Transactions on Reconfigurable Technology and Systems.

Wayne Luk, Richard Stamper, Ian Page, 1995 .

Wayne Luk, Tim Todman, W. Luk, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Steve McKeever, Wayne Luk, W. Luk, 2001, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483).

Wayne Luk, Chao Zhang, Yuchun Ma, 2015, 2015 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics).

Wayne Luk, Chao Zhang, Yuchun Ma, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Wayne Luk, Kit-Hang Lee, Hing-Choi Fu, 2017, 2017 IEEE International Conference on Real-time Computing and Robotics (RCAR).

Daniel Rueckert, Wayne Luk, Jun Jiang, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

Wayne Luk, David B. Thomas, Philip Heng Wai Leong, 2007, CSUR.

Wayne Luk, Michael J. Flynn, M. Flynn, 2011 .

Wayne Luk, Adrien Le Masle, Jared Eldredge, 2010, ARC.

Wayne Luk, Oskar Mencer, Kentaro Sano, 2007 .

W. Luk, Philippos Papaphilippou, Chris Brooks, 2021, IEEE Transactions on Computers.

Wayne Luk, Chris Brooks, Philippos Papaphilippou, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, Philippos Papaphilippou, Chris Brooks, 2021, ArXiv.

Wayne Luk, Oskar Mencer, Per Haglund, 2003, FPL.

Wayne Luk, Peter Y. K. Cheung, Shay Ping Seng, 2000, CASES '00.

Wayne Luk, Philip Heng Wai Leong, Ray C. C. Cheung, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Wayne Luk, Philip Heng Wai Leong, Ray C. C. Cheung, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Geoffrey Brown, Wayne Luk, W. Luk, 1990, Sci. Comput. Program..

Wayne Luk, Oskar Mencer, José Gabriel F. Coutinho, 2011, Trans. High Perform. Embed. Archit. Compil..

Wayne Luk, Liucheng Guo, Andreea-Ingrid Cross, 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Liucheng Guo, Andreea-Ingrid Cross, 2018, HEART.

W. Luk, Mark Salmon, Liucheng Guo, 2018, Proceedings of the 9th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies.

Wayne Luk, Julien Lamoureux, W. Luk, 2008, 2008 NASA/ESA Conference on Adaptive Hardware and Systems.

Wayne Luk, Robert G. Dimond, W. W. S. Chu, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Wayne Luk, Peter Y. K. Cheung, Shay Ping Seng, 2002, FPL.

Wayne Luk, Henry Styles, W. Luk, 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

W. Luk, Jifeng He, Geoffrey M. Brown, 1996 .

Wayne Luk, John O'Leary, Geoffrey Brown, 1997, Formal Aspects of Computing.

Geoffrey Brown, Wayne Luk, John O'Leary, 1994, Proceedings of 1994 IEEE Symposium on Advanced Research in Asynchronous Circuits and Systems.

Wayne Luk, Steven J. E. Wilton, Kuen Hung Tsoi, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Peter Jamieson, Vladimir Roitch, 2009, ERSA.

Wayne Luk, Qiwei Jin, David B. Thomas, 2009, TRETS.

Wayne Luk, Yao Lu, Xiaoxiang Shi, 2008, 2008 Congress on Image and Signal Processing.

Wayne Luk, Peter Y. K. Cheung, Benjamin Cope, 2010, IEEE Transactions on Computers.

Wayne Luk, David B. Thomas, David B. Thomas, 2007, J. VLSI Signal Process..

Wayne Luk, David B. Thomas, Jacob A. Bower, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Wayne Luk, David B. Thomas, Oskar Mencer, 2006, 2006 IEEE International Conference on Reconfigurable Computing and FPGA's (ReConFig 2006).

Wayne Luk, David B. Thomas, David B. Thomas, 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Lingli Wang, Jian Yan, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Stephan Stilkerich, Tim Todman, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Wayne Luk, Markus Weinhardt, W. Luk, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2011, IEEE Transactions on Industrial Electronics.

Wayne Luk, David B. Thomas, Liucheng Guo, 2013, CARN.

Wayne Luk, Kuen Hung Tsoi, Peiyong Jiang, 2013, ARC.

Wayne Luk, Haohuan Fu, Ce Guo, 2012, 2012 International Conference on Field-Programmable Technology.

Wayne Luk, Simon R. Schultz, Kit Cheung, 2012, ICANN.

Wayne Luk, Qiwei Jin, David B. Thomas, 2012, ARC.

Wayne Luk, Qiwei Jin, David B. Thomas, 2012, FPGA '12.

Wayne Luk, Jinzhe Yang, Ce Guo, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, Peter Y. K. Cheung, Thomas C. P. Chau, 2012, CARN.

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Peter Y. K. Cheung, Benjamin Cope, 2008, 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation.

Wayne Luk, Peter Y. K. Cheung, Benjamin Cope, 2011, Trans. High Perform. Embed. Archit. Compil..

Wayne Luk, Stephan Stilkerich, Tim Todman, 2014, Int. J. Reconfigurable Comput..

Wayne Luk, Krishna V. Palem, Weng-Fai Wong, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

Wayne Luk, Qiang Liu, Kuen Hung Tsoi, 2010, 2010 International Conference on Field-Programmable Technology.

Wayne Luk, Peter R. Pietzuch, Kuen Hung Tsoi, 2010, CARN.

Wayne Luk, Oskar Mencer, Robert G. Dimond, 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, David B. Thomas, Natasa Przulj, 2011, 2011 International Conference on Field-Programmable Technology.

Wayne Luk, Zhiqiang Que, Hongxiang Fan, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Murray Shanahan, Wayne Luk, Etienne B. Roesch, 2009, 2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors.

Wayne Luk, David B. Thomas, Kuen Hung Tsoi, 2010, CARN.

Wayne Luk, Qiang Liu, Yuet Ming Lam, 2009, 2009 IEEE International SOC Conference (SOCC).

Wayne Luk, David B. Thomas, David B. Thomas, 2007, 2007 International Conference on Field-Programmable Technology.

Wayne Luk, Tim Todman, W. Luk, 2007, CPA.

Daniel Kuhn, Wayne Luk, Paul H. J. Kelly, 2013, J. Parallel Distributed Comput..

Daniel Kuhn, Wayne Luk, Paul H. J. Kelly, 2012, J. Parallel Distributed Comput..

Daniel Kuhn, Wayne Luk, Simon A. Spacey, 2009, 2009 5th Southern Conference on Programmable Logic (SPL).

Wayne Luk, Dionisios N. Pnevmatikatos, Ioannis Papaefstathiou, 2012, 2012 15th Euromicro Conference on Digital System Design.

Wayne Luk, Ulrich Rückert, Mario Porrmann, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Tobias Becker, Markus Köster, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Wayne Luk, Mario Porrmann, Jens Hagemeyer, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Wayne Luk, Henry Styles, W. Luk, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Ringo S. W. Chu, W. Luk, Man-Chung Yue, 2020, 2020 International Conference on Field-Programmable Technology (ICFPT).

Wayne Luk, David B. Thomas, David B. Thomas, 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.

Wayne Luk, Jan M. Maciejowski, Thomas C. P. Chau, 2013, 52nd IEEE Conference on Decision and Control.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2007, First International Symposium on Networks-on-Chip (NOCS'07).

Hao Jiang, Li Tian, Di Wu, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

W. Luk, Ce Guo, 2014, J. Signal Process. Syst..

Wayne Luk, Ce Guo, W. Luk, 2013, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors.

Wayne Luk, Jason Tsai, Thomas C. P. Chau, 2018, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Wayne Luk, Xinyu Niu, José Gabriel F. Coutinho, 2014, 2014 IEEE International Symposium on Parallel and Distributed Processing with Applications.

Chao Yang, Guangwen Yang, Wayne Luk, 2019, IEEE Transactions on Parallel and Distributed Systems.

W. Luk, Philippos Papaphilippou, K. Sano, 2023, IEEE Transactions on Parallel and Distributed Systems.

W. Luk, Philippos Papaphilippou, Jiuxi Meng, 2022, ACM Trans. Reconfigurable Technol. Syst..

Jiuxi Meng, Wayne Luk, Philippos Papaphilippou, 2020, FPGA.

Wayne Luk, Jiuxi Meng, Paolo Costa, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

W. Luk, Philippos Papaphilippou, K. Sano, 2021, 2021 International Conference on Field-Programmable Technology (ICFPT).

Xinyu Niu, Wayne Luk, Marco D. Santambrogio, 2016, 2016 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).

W. Luk, G. Gaydadjiev, R. Giorgi, 2023, Journal of Big Data.

W. Luk, Deliang Chen, A. Tapper, 2023, Journal of Physics: Conference Series.

W. Luk, O. Mencer, G. Gaydadjiev, 2021, ACM Trans. Archit. Code Optim..

Wayne Luk, Xinyu Niu, Xiwei Wang, 2018, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Wayne Luk, Spencer J. Sherwin, Pavel Burovskiy, 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

Marco Platzner, Viktor K. Prasanna, Hideharu Amano, 2017, ACM Trans. Reconfigurable Technol. Syst..

Wayne Luk, David B. Thomas, David B. Thomas, 2008, TRETS.

Wayne Luk, Kuen Hung Tsoi, Yuet Ming Lam, 2013, Int. J. Comput. Sci. Eng..

Wayne Luk, Ce Guo, Stanley Qing Shui Loh, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

W. Luk, Ruizhe Zhao, 2018, 2019 IEEE/CVF International Conference on Computer Vision Workshop (ICCVW).

Wayne Luk, Adrien Le Masle, W. Luk, 2012, 22nd International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Stephen Weston, Ce Guo, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, Philip Heng Wai Leong, Dong-U Lee, 2006, IEEE Transactions on Computers.

Wayne Luk, David B. Thomas, Gareth W. Morris, 2009, 2009 17th IEEE Symposium on High Performance Interconnects.

Wayne Luk, Markus Weinhardt, W. Luk, 2001, FPL.

Wayne Luk, Peter Y. K. Cheung, Nabeel Shirazi, 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

Wayne Luk, David B. Thomas, Kuen Hung Tsoi, 2010, 2010 International Conference on Field-Programmable Technology.

Wayne Luk, Philip Heng Wai Leong, Kuen Hung Tsoi, 2009, 2009 5th Southern Conference on Programmable Logic (SPL).

Guangwen Yang, Wayne Luk, Haohuan Fu, 2017, IEEE Transactions on Computers.

M.P.T. Juvonen, J.G.F. Coutinho, W. Luk, 2007, 2007 3rd Southern Conference on Programmable Logic.

Guang-Zhong Yang, Wayne Luk, Benny P. L. Lo, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Georgi Gaydadjiev, Uwe Oelfke, 2021, 2021 IEEE 33rd International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).

Wayne Luk, Philip Heng Wai Leong, Dong-U Lee, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Peter Y. K. Cheung, Dong-U Lee, 2004, IEEE Transactions on Computers.

Wayne Luk, Michael Smith, Connie Wang, 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Gary Chun Tak Chow, Adrien Le Masle, 2011, 2011 International Conference on Field-Programmable Technology.

W. Luk, Ce Guo, 2020, 2020 International Conference on Field-Programmable Technology (ICFPT).

Wayne Luk, Zhiqiang Que, Hongxiang Fan, 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Qiang Liu, Hongxiang Fan, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

W. Luk, Zhiqiang Que, Hongxiang Fan, 2021, IEEE Transactions on Neural Networks and Learning Systems.

Hongxiang Fan, Xinyu Niu, Wayne Luk, 2019, 2019 International Conference on Field-Programmable Technology (ICFPT).

Wayne Luk, Yoshiki Yamaguchi, Kuen Hung Tsoi, 2011, ARC.

Wayne Luk, Peiyong Jiang, James Arram, 2013, 2013 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, Kuen Hung Tsoi, Peiyong Jiang, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Christos-Savvas Bouganis, Wayne Luk, George A. Constantinides, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Wayne Luk, David B. Thomas, David B. Thomas, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Wayne Luk, David B. Thomas, David B. Thomas, 2010, 2010 International Conference on Field Programmable Logic and Applications.

Tao Zhang, Wayne Luk, Terrence S. T. Mak, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Philip Heng Wai Leong, Gary C. T. Chow, 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Fabrizio Ferrandi, Marco Lattuada, 2010, IEEE Micro.

Wayne Luk, Jinzhe Yang, Terence Nahar, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Zhiqiang Que, Steven J. E. Wilton, 2021, 2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

W. Luk, Stephen Weston, Ce Guo, 2020, 2020 IEEE/ACS 17th International Conference on Computer Systems and Applications (AICCSA).

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2008, Journal of Real-Time Image Processing.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2006, ARC.

Wayne Luk, John D. Villasenor, Dan Benyamin, 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

Wayne Luk, Maciej Kurek, Ioannis Ilkos, 2011, 2011 VII Southern Conference on Programmable Logic (SPL).

Wayne Luk, Philip H. W. Leong, John Villasenor, 2005 .

Wayne Luk, Haohuan Fu, Oskar Mencer, 2009, PATMOS.

Wayne Luk, Paul H. J. Kelly, Philippos Papaphilippou, 2021, 2021 31st International Conference on Field-Programmable Logic and Applications (FPL).

Wayne Luk, Paul H. J. Kelly, Philippos Papaphilippou, 2021, ArXiv.

Wayne Luk, Paul H. J. Kelly, Philippos Papaphilippou, 2021, 2021 31st International Conference on Field-Programmable Logic and Applications (FPL).

Geoffrey Brown, Wayne Luk, Emil C. Lupu, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Geoffrey Brown, Wayne Luk, Emil C. Lupu, 2005, ERSA.

Theerayod Wiangtong, Wayne Luk, Peter Y. K. Cheung, 2003, FPL.

Wayne Luk, James Stanley Targett, Francis P. Russell, 2018, 2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Wayne Luk, Philip Heng Wai Leong, Yuet Ming Lam, 2008, 2008 International Conference on Field-Programmable Technology.

Wayne Luk, Günhan Dündar, Can C. Özturan, 2008, 2008 International Conference on Application-Specific Systems, Architectures and Processors.

Wayne Luk, Tim Todman, Eddie Hung, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wayne Luk, Tim Todman, Eddie Hung, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Wayne Luk, Haohuan Fu, Oskar Mencer, 2010, IEEE Transactions on Computers.

Wayne Luk, Kit-Hang Lee, Peter Y. K. Cheung, 2013, 2013 International Conference on Field-Programmable Technology (FPT).

Wayne Luk, Tobias Becker, Maciej Kurek, 2013, ARC.

Wayne Luk, Ray C. C. Cheung, Oskar Mencer, 2007, 2007 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Ray C. C. Cheung, Chi Wai Yu, 2011, 2011 International Conference on Field-Programmable Technology.

S. Wilton, W. Luk, P. Leong, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Peter Y. K. Cheung, Tobias Becker, 2010, TODE.

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Philip Heng Wai Leong, Steven J. E. Wilton, 2008, 2008 International Conference on Field-Programmable Technology.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2008, SLIP '08.

Wayne Luk, Terrence S. T. Mak, Alexandre Yakovlev, 2008, SLIP '08.

Wayne Luk, Chi Wai Yu, Steven J.E. Wilton, 2008, 2008 4th Southern Conference on Programmable Logic.

Wayne Luk, Michael J. Flynn, Oskar Mencer, 2006, Microprocess. Microsystems.

W. Luk, H. Fu, Guangwen Yang, 2021, Science China Information Sciences.

Wayne Luk, G. Jones, W. Luk, 1987 .

Wayne Luk, Qiwei Jin, David B. Thomas, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Qiwei Jin, David B. Thomas, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Nicolas Boullis, Wayne Luk, Oskar Mencer, 2001, The 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'01).

Yuchen Hao, Yu Wang, Wayne Luk, 2012, 2012 International Conference on Field-Programmable Technology.

Thomas Heinis, Wayne Luk, Tianrun Li, 2016, ADBIS.

Yu Wang, Wayne Luk, Jinian Bian, 2013, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig).

Wayne Luk, Kit-Hang Lee, Nassir Navab, 2021, International Journal of Computer Assisted Radiology and Surgery.