Improving post-silicon error detection with topological selection of trace signals

Drastic growth in design complexity of VLSI circuits has increased the chances of bugs escaping to first released silicon. This has resulted in an increased emphasis on post-silicon validation and debug which is typically hindered by limited observability of internal signals. Trace buffers assist in curbing this bottleneck by storing selected signal states for limited clock cycles. For efficient use of these on-chip buffers, devising a proper selection criterion is of utmost importance. Maximization of restoration of untraced signals is a widely utilized signal selection metric. However, this approach has been seen to not be very effective for error detection. This paper proposes a trace signal selection technique based on error transmission, taking into account the topology of the design. The proposed signal selection methodology can be effectively applied to trace as well as a combination of trace and scan based observability techniques. Experimental evaluation of the proposed methodology on different design errors indicates improvement in error detection as compared to restorability based selection techniques.

[1]  Michael S. Hsiao,et al.  Multiplexed trace signal selection using non-trivial implication-based correlation , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[2]  Allon Adir,et al.  Dynamic Selection of Trace Signals for Post-Silicon Debug , 2013, 2013 14th International Workshop on Microprocessor Test and Verification.

[3]  Masahiro Fujita,et al.  A Methodology for Trace Signal Selection to Improve Error Detection in Post-Silicon Validation , 2017, 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID).

[4]  Prabhat Mishra,et al.  RATS: Restoration-Aware Trace Signal Selection for Post-Silicon Validation , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Nicola Nicolici,et al.  Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging , 2010, 2010 15th IEEE European Test Symposium.

[6]  Azadeh Davoodi,et al.  Multi-mode trace signal selection for post-silicon debug , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[7]  Masahiro Fujita,et al.  Combining Restorability and Error Detection Ability for Effective Trace Signal Selection , 2017, ACM Great Lakes Symposium on VLSI.

[8]  Kamran Rahmani,et al.  Efficient Selection of Trace and Scan Signals for Post-Silicon Debug , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Nur A. Touba,et al.  Automated Selection of Signals to Observe for Efficient Silicon Debug , 2009, 2009 27th IEEE VLSI Test Symposium.

[10]  Sandip Ray,et al.  Efficient trace signal selection using augmentation and ILP techniques , 2014, Fifteenth International Symposium on Quality Electronic Design.

[11]  Masahiro Fujita,et al.  Post-silicon observability enhancement with topology based trace signal selection , 2017, 2017 18th IEEE Latin American Test Symposium (LATS).

[12]  Virendra Singh,et al.  A trace signal selection algorithm for improved post-silicon debug , 2016, 2016 IEEE East-West Design & Test Symposium (EWDTS).