Reduce Register Files Leakage Through Discharging Cells

We propose a low-leakage register file cell design based on the observation that the physical registers in a superscalar processor have very short life cycles. When a register is dead, we discharge its cells to '0' to greatly reduce the leakage current from the read bitlines to the ground. Our design has no impact to critical register read access path. Projected to future 45 nm technology, our design yields additional 38% and 47% leakage power savings on top of the existing low-leakage cell designs for 64-bit and 32-bit datapath, respectively. Taking into the account of dynamic energy savings due to the elimination of write '0' operations, our design saves nearly 20% of total energy.

[1]  Hiroshi Nakamura,et al.  A small, fast and low-power register file by bit-partitioning , 2005, 11th International Symposium on High-Performance Computer Architecture.

[2]  Alvin M. Despain,et al.  The 16-fold way: a microparallel taxonomy , 1993, MICRO 1993.

[3]  Vivek De,et al.  Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[4]  Vivek De,et al.  Technology and design challenges for low power and high performance , 1999, ISLPED '99.

[5]  Todd M. Austin,et al.  The SimpleScalar tool set, version 2.0 , 1997, CARN.

[6]  Krste Asanovic,et al.  Dynamic zero compression for cache energy reduction , 2000, MICRO 33.

[7]  Krste Asanovic,et al.  Dynamic fine-grain leakage reduction using leakage-biased bitlines , 2002, ISCA.

[8]  Norman P. Jouppi,et al.  Cacti 3. 0: an integrated cache timing, power, and area model , 2001 .

[9]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[10]  K. Soumyanath,et al.  A 130-nm 6-GHz 256 × 32 bit leakage-tolerant register file , 2002, IEEE J. Solid State Circuits.

[11]  Atila Alvandpour,et al.  A 130-nm 6-GHz 256 /spl times/ 32 bit leakage-tolerant register file , 2002 .

[12]  Margaret Martonosi,et al.  Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance , 2000, TOCS.

[13]  Stamatis Vassiliadis,et al.  Register renaming and dynamic speculation: an alternative approach , 1993, Proceedings of the 26th Annual International Symposium on Microarchitecture.

[14]  J.H. Tseng,et al.  Energy-efficient register access , 2000, Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843).

[15]  J. S. Liptay Design of the IBM Enterprise System/9000 high-end processor , 1992, IBM J. Res. Dev..