Domain-Specific Modeling for Rapid Energy Estimation of Reconfigurable Architectures

Reconfigurable architectures such as FPGAs are flexible alternatives to DSPs or ASICs used in mobile devices for which energy is a key performance metric. Reconfigurable architectures offer several design parameters such as operating frequency, precision, amount of memory, degree of parallelism, etc. These parameters define a large design space that must be explored to find energy-efficient solutions. It is also challenging to predict the energy variation at the early design phases when a design is modified at algorithm level. Efficient traversal of such a large design space requires high-level modeling to facilitate rapid estimation of system-wide energy. However, FPGAs do not exhibit a high-level structure like, for example, a RISC processor for which high-level as well as low-level energy models are available. To address this scenario, we propose a domain-specific modeling technique for energy-efficient kernel design that exploits the knowledge of the algorithm and the target architecture family for a given kernel to develop a high-level model. This model captures architecture and algorithm features, parameters affecting energy performance, and power estimation functions based on these parameters. A system-wide energy function is derived based on the power functions and cycle specific power state of each building block of the architecture. This model is used to understand the impact of various parameters on system-wide energy and can be a basis for the design of energy-efficient algorithms. Our high-level model is used to quickly obtain fairly accurate estimate of the system-wide energy dissipation of data paths configured using FPGAs. We demonstrate our modeling methodology by applying it to four domains.

[1]  Viktor K. Prasanna,et al.  A model-based methodology for application specific energy efficient data path design using FPGAs , 2002, Proceedings IEEE International Conference on Application- Specific Systems, Architectures, and Processors.

[2]  Viktor K. Prasanna,et al.  Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation , 2002, LCTES/SCOPES '02.

[3]  Viktor K. Prasanna,et al.  On Synthesizing Optimal Family of Linear Systolic Arrays for Matrix Multiplication , 1991, IEEE Trans. Computers.

[4]  Viktor K. Prasanna,et al.  Energy-Efficient Matrix Multiplication on FPGAs , 2002, FPL.

[5]  R. John Linear Statistical Models: An Applied Approach , 1986 .

[6]  P. J. Green,et al.  Probability and Statistical Inference , 1978 .

[7]  H. T. Kung,et al.  I/O complexity: The red-blue pebble game , 1981, STOC '81.

[8]  Luca Benini,et al.  Regression-based RTL power modeling , 2000, TODE.

[9]  Eike Schmidt,et al.  System level optimization and design space exploration for low power , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[10]  Viktor K. Prasanna,et al.  Domain-Speci fi c Modeling for Rapid System-Wide Energy Estimation of Recon fi gurable Architectures , 2002 .

[11]  V. Prasanna,et al.  Energy-Efficient Design of Kernel Applications for FPGAs Through Domain-Specific Modeling , 2002 .

[12]  Trevor N. Mudge,et al.  Power: A First-Class Architectural Design Constraint , 2001, Computer.

[13]  Sujit Dey,et al.  High-Level Power Analysis and Optimization , 1997 .

[14]  Gary K. Yeap,et al.  Practical Low Power Digital VLSI Design , 1997 .

[15]  Wayne Luk,et al.  Customising graphics applications: techniques and programming interface , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[16]  Alan V. Oppenheim,et al.  Discrete-time Signal Processing. Vol.2 , 2001 .

[17]  Li Shang,et al.  High-level power modeling of CPLDs and FPGAs , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[18]  Viktor K. Prasanna,et al.  Energy efficiency of FPGAs and programmable processors for matrix multiplication , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

[19]  Li Shang,et al.  Dynamic power consumption in Virtex™-II FPGA family , 2002, FPGA '02.

[20]  Hossam A. ElGindy,et al.  On sparse matrix-vector multiplication with FPGA-based system , 2002, Proceedings. 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.