J. Teich

发表

Martin Lukasiewycz, Michael Glaß, Christian Haubelt, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Martin Lukasiewycz, Michael Glaß, Christian Haubelt, 2008, 2008 45th ACM/IEEE Design Automation Conference.

J. Teich, Sanaz Mostaghim, 2003, The 2003 Congress on Evolutionary Computation, 2003. CEC '03..

Jürgen Teich, Marcus Bednara, Joachim von zur Gathen, 2003, ISCAS.

Jürgen Teich, Sanaz Mostaghim, Ambrish Tyagi, 2002, Proceedings of the 2002 Congress on Evolutionary Computation. CEC'02 (Cat. No.02TH8600).

Martin Lukasiewycz, Michael Glaß, Christian Haubelt, 2007, SAT.

Frank Hannig, M. Akif Ozkan, Bo Qiao, 2020, J. Real Time Image Process..

J. Teich, Frank Hannig, O. Reiche, 2017, LCTES.

M. Glaß, J. Teich, Frank Hannig, 2016 .

Emanuele Borgonovo, Michael Glaß, Jürgen Teich, 2016, Eur. J. Oper. Res..

C. Haubelt, J. Teich, 2010 .

Michael Glaß, Jürgen Teich, Hananeh Aliee, 2020, Dependable Embedded Systems.

Jürgen Teich, Frank Hannig, Wieland Eckert, 2016, IEEE Transactions on Parallel and Distributed Systems.

Jürgen Teich, Sascha Roloff, Frank Hannig, 2019, Computer Architecture and Design Methodologies.

Christian Haubelt, Jürgen Teich, C. Haubelt, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

Jürgen Teich, Frank Hannig, Sandra Mattauch, 2020, Commun. ACM.

J. Teich, P. Kutter, R. Weper, 2000, Abstract State Machines.

J. Teich, D. Fischer, P. Kutter, 2003 .

Christian Haubelt, Jürgen Teich, Martin Streubühr, 2008 .

J. Teich, Michael Witterauf, Dominik Walter, 2020, 2020 18th ACM-IEEE International Conference on Formal Methods and Models for System Design (MEMOCODE).

Michael Glaß, Jürgen Teich, Fedor Smirnov, 2018, SCOPES.

Marco Platzner, Jürgen Teich, Neil W. Bergmann, 2007 .

Marco Platzner, Jürgen Teich, Neil W. Bergmann, 2007, EURASIP J. Embed. Syst..

Jürgen Teich, Sascha Roloff, Frank Hannig, 2014, ARCS Workshops.

Jürgen Teich, Frank Hannig, Georgia Kouveli, 2011, MARC Symposium.

Jürgen Teich, Frank Hannig, Hritam Dutta, 2007, International Conference on Engineering of Reconfigurable Systems and Algorithms.

Jürgen Teich, Sándor P. Fekete, Nils Schweer, 2010, ArXiv.

Emanuele Borgonovo, Michael Glaß, Jürgen Teich, 2015 .

Christian Haubelt, Jürgen Teich, Joachim Falk, 2005 .

Jürgen Teich, Lothar Thiele, Tulika Mitra, 2018, IEEE Des. Test.

Martin Lukasiewycz, Michael Glaß, Jürgen Teich, 2017, Handbook of Hardware/Software Codesign.

Jürgen Teich, Faramarz Khosravi, Hananeh Aliee, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Jürgen Teich, Faramarz Khosravi, Hananeh Aliee, 2017, ESTIMedia.

Michael Glaß, Jürgen Teich, Rafael Rosales, 2022, 2016 Picture Coding Symposium (PCS).

Jürgen Teich, Daniel Ziener, Christopher Dennl, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Jürgen Teich, Dirk Koch, Christian Beckhoff, 2009, TRETS.

Jürgen Teich, Ali Ahmadinia, Marcus Bednara, 2004, IEEE International Parallel and Distributed Processing Symposium.

Jürgen Teich, Zoran A. Salcic, HeeJong Park, 2017, ACM Trans. Design Autom. Electr. Syst..

Jürgen Teich, Joachim Keinert, J. Teich, 2011 .

Christian Haubelt, Jürgen Teich, Martin Streubühr, 2008, MBMV.

Christian Haubelt, Jürgen Teich, C. Haubelt, 2007, eXamen.press.

Jürgen Teich, Frank Hannig, Oliver Reiche, 2020, SCOPES.

Jürgen Teich, Sándor P. Fekete, Nils Schweer, 2010, TRETS.

Jürgen Teich, Sándor P. Fekete, Nils Schweer, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Jürgen Teich, Dirk Ziegenbein, Matthias Gries, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Jürgen Teich, Frank Hannig, Bo Qiao, 2018, SCOPES.

Jürgen Teich, Frank Hannig, Oliver Reiche, 2017, LCTES.

Jürgen Teich, Daniel Ziener, J. Teich, 2009, Int. J. Auton. Adapt. Commun. Syst..

Jürgen Teich, Sanaz Mostaghim, J. Teich, 2004, Proceedings of the 2004 Congress on Evolutionary Computation (IEEE Cat. No.04TH8753).

Jürgen Teich, Sanaz Mostaghim, J. Teich, 2003, Proceedings of the 2003 IEEE Swarm Intelligence Symposium. SIS'03 (Cat. No.03EX706).

Jürgen Teich, Lothar Thiele, Tobias Blickle, 1998, Des. Autom. Embed. Syst..

Jürgen Teich, J. Teich, 2001, EMO.

Jürgen Teich, Sanaz Mostaghim, J. Teich, 2005, Evolutionary Multiobjective Optimization.

Michael Glaß, Jürgen Teich, Behnaz Pourmohseni, 2019, ACM Trans. Embed. Comput. Syst..

L. Thiele, D. Ziegenbein, K. Richter, 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

Jürgen Teich, Moritz Mühlenthaler, Daniel Ziener, 2011, 2011 International Conference on Field-Programmable Technology.

Jürgen Teich, Frank Hannig, Alexandru Tanase, 2017, J. Signal Process. Syst..

Jürgen Teich, Sascha Roloff, Frank Hannig, 2019, Computer Architecture and Design Methodologies.

Jürgen Teich, Sascha Roloff, Frank Hannig, 2019, Computer Architecture and Design Methodologies.

Martin Lukasiewycz, Michael Glaß, Jürgen Teich, 2011, GECCO '11.

Jürgen Teich, Behnaz Pourmohseni, Heba Khdr, 2019, 2019 IEEE Real-Time Systems Symposium (RTSS).

Norbert Wehn, Mehdi Baradaran Tahoori, Michael Glaß, 2015, it Inf. Technol..

Christian Haubelt, Jürgen Teich, Martin Streubühr, 2009, 2009 Forum on Specification & Design Languages (FDL).

Jürgen Teich, Daniel Ziener, J. Teich, 2008, J. Signal Process. Syst..

Martin Lukasiewycz, Michael Glaß, Jürgen Teich, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Christian Haubelt, Jürgen Teich, Jens Gladigau, 2008, FDL.

Jürgen Teich, Lothar Thiele, Rolf Ernst, 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

Jürgen Teich, Frank Hannig, Bo Qiao, 2019, 2019 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Jürgen Teich, Qiang Xu, Lin Huang, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Michael Glaß, Jürgen Teich, Faramarz Khosravi, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Narayanan Vijaykrishnan, Jürgen Teich, Jörg Henkel, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Martin Lukasiewycz, Michael Glaß, Christian Haubelt, 2008, CODES+ISSS '08.

M. Lukasiewycz, M. Glaß, C. Haubelt, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Michael Glaß, Jürgen Teich, Piet Engelke, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Jürgen Teich, Marcus Bednara, Joachim von zur Gathen, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Jürgen Teich, Eckart Zitzler, Shuvra S. Bhattacharyya, 2000, IEEE Trans. Very Large Scale Integr. Syst..

Michael Glaß, Christian Haubelt, Jürgen Teich, 2008, ARCS.

Jürgen Teich, Frank Hannig, Vahid Lari, 2009, 2009 International Conference on Parallel Processing Workshops.

Jürgen Teich, Sándor P. Fekete, Diana Göhringer, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jürgen Teich, Daniel Ziener, Stefan Assmus, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Marco Platzner, Rüdiger Kapitza, Norbert Wehn, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Jürgen Teich, Eckart Zitzler, Shuvra S. Bhattacharyya, 2004, IEEE Transactions on Evolutionary Computation.

Jürgen Teich, Stefan Wildermann, Tobias Ziermann, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Jürgen Teich, Daniel Ziener, Bernhard Schmidt, 2014, 2014 IEEE International Parallel & Distributed Processing Symposium Workshops.

Marco Platzner, Jürgen Teich, Udo Kebschull, 2010, IET Computers & Digital Techniques.

Aviral Shrivastava, Michael Glaß, Christian Haubelt, 2017, Handbook of Hardware/Software Codesign.

Christian Haubelt, Jürgen Teich, Jens Gladigau, 2011, 2011 22nd IEEE International Symposium on Rapid System Prototyping.

Christian Haubelt, Jürgen Teich, Joachim Falk, 2008, 2008 6th ACM/IEEE International Conference on Formal Methods and Models for Co-Design.

Christian Haubelt, Jürgen Teich, Jens Gladigau, 2007, FDL.

Christian Haubelt, Jürgen Teich, Joachim Falk, 2006, FDL.

Jürgen Teich, Stefan Wildermann, Andreas Becher, 2018, 2018 International Conference on ReConFigurable Computing and FPGAs (ReConFig).

Jürgen Teich, Lothar Thiele, L. Thiele, 1993, Integr..

Jürgen Teich, Frank Hannig, Wieland Eckert, 2012, Euro-Par Workshops.

Jürgen Teich, Dirk Fischer, Ralph Weper, 2000, CASES '00.

Jürgen Teich, Dirk Koch, Christian Beckhoff, 2007, 2007 International Conference on Field-Programmable Technology.

Jürgen Teich, Lothar Thiele, Tulika Mitra, 2018, IEEE Design & Test.

Jürgen Teich, Frank Hannig, Richard Membarth, 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Martin Lukasiewycz, Michael Glaß, Christian Haubelt, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jürgen Teich, Ali Ahmadinia, Christophe Bobda, 2007, J. VLSI Signal Process..

Jürgen Teich, Ali Ahmadinia, Christophe Bobda, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Jürgen Teich, Ali Ahmadinia, Christophe Bobda, 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

Jürgen Teich, Christophe Bobda, Josef Angermeier, 2010, Dynamically Reconfigurable Systems.

Jürgen Teich, Ali Ahmadinia, Christophe Bobda, 2006, ARCS Workshops.

Jürgen Teich, Ali Ahmadinia, Christophe Bobda, 2005 .

Jürgen Teich, Marcus Bednara, Joachim von zur Gathen, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Martin Lukasiewycz, Michael Glaß, Christian Haubelt, 2008, SAFECOMP.

J. Teich, E. Zitzler, S. Bhattacharyya, 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

Jürgen Teich, Harald Köstler, Frank Hannig, 2012, 2012 SC Companion: High Performance Computing, Networking Storage and Analysis.

Jürgen Teich, Harald Köstler, Frank Hannig, 2014, J. Parallel Distributed Comput..

Jürgen Teich, Andreas Becher, Daniel Ziener, 2016, ACM Trans. Reconfigurable Technol. Syst..

Jürgen Teich, Ali Ahmadinia, Sándor P. Fekete, 2010, Dynamically Reconfigurable Systems.

Christian Haubelt, Jürgen Teich, Joachim Falk, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Michael Glaß, Jürgen Teich, Sebastian Graf, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Michael Glaß, Jürgen Teich, Christoph Lauer, 2014, 2014 17th Euromicro Conference on Digital System Design.

Jürgen Teich, Stefan Wildermann, Josef Angermeier, 2008, 2008 The 19th IEEE/IFIP International Symposium on Rapid System Prototyping.

Jürgen Teich, Faramarz Khosravi, Brett H. Meyer, 2015, J. Syst. Archit..

Jürgen Teich, Frank Hannig, Alexandru-Petru Tanase, 2018 .

Michael Glaß, Jürgen Teich, Faramarz Khosravi, 2017, IEEE Transactions on Reliability.

Christian Haubelt, Jürgen Teich, Christian Zebelein, 2017, Handbook of Hardware/Software Codesign.

Jürgen Teich, Rafael Rosales, Bo Wang, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Jürgen Teich, Stefan Wildermann, Ingrid Verbauwhede, 2021, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Jürgen Teich, Daniel Ziener, Jutta Pirkl, 2018, 2018 International Conference on ReConFigurable Computing and FPGAs (ReConFig).

J. Teich, S. Wildermann, A. Becher, 2022, 2022 32nd International Conference on Field-Programmable Logic and Applications (FPL).

Jürgen Teich, Stefan Wildermann, Jens Schlumberger, 2021, 2021 11th IFIP International Conference on New Technologies, Mobility and Security (NTMS).

Jürgen Teich, Sándor P. Fekete, Ekkehard Köhler, 2001, SIAM J. Discret. Math..

Jürgen Teich, Sándor P. Fekete, Ekkehard Köhler, 2001, Electron. Notes Discret. Math..

Jürgen Teich, Sándor P. Fekete, Ekkehard Köhler, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Jürgen Teich, Muhammad Abdullah Hanif, Arnaud Virazel, 2021, 2021 IEEE European Test Symposium (ETS).

Jürgen Teich, Lothar Thiele, Karsten Strehl, 2000, Parallel Algorithms Appl..

Jürgen Teich, Frank Hannig, Dmitrij Kissler, 2006, ReCoSoC.

Jürgen Teich, Sanaz Mostaghim, Thomas Frauenheim, 2004, Proceedings of the 2004 Congress on Evolutionary Computation (IEEE Cat. No.04TH8753).

J. Teich, M. A. Özkan, Oliver Keszöcze, 2022, International Conference on Field-Programmable Logic and Applications.

P. Slusallek, Sebastian Hack, J. Teich, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jürgen Teich, Krzysztof Kuchcinski, Frank Hannig, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Jürgen Teich, Krzysztof Kuchcinski, Frank Hannig, 2008, 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools.

Jürgen Teich, Krzysztof Kuchcinski, Frank Hannig, 2008, 2008 16th International Symposium on Field-Programmable Custom Computing Machines.

Jürgen Teich, Ali Ahmadinia, Sándor P. Fekete, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Jürgen Teich, Ali Ahmadinia, Christophe Bobda, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Jürgen Teich, Ali Ahmadinia, Sándor P. Fekete, 2005, 16th IEEE International Workshop on Rapid System Prototyping (RSP'05).

Jürgen Teich, Walter Stechele, Jim Tørresen, 2012, ARCS 2012.

Jürgen Teich, Frank Hannig, Dmitrij Kissler, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Jürgen Teich, Frank Hannig, Benno Heigl, 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

Jürgen Teich, Frank Hannig, J. Teich, 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..

Jürgen Teich, Ali Ahmadinia, Dirk Koch, 2004, FPL.

Jürgen Teich, Stefan Wildermann, Jorge Echavarria, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Jürgen Teich, Stefan Wildermann, Andreas Becher, 2016, 2016 International Conference on Field-Programmable Technology (FPT).

Jürgen Teich, Andreas Weichslgartner, Jürgen Becker, 2016 .

Jürgen Teich, Frank Hannig, Dmitrij Kissler, 2008 .

Jürgen Teich, Frank Hannig, Hritam Dutta, 2009, 2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors.

Jürgen Teich, Frank Hannig, Hritam Dutta, 2006, ARCS.

Jürgen Teich, Sanaz Mostaghim, Oliver Schütze, 2003, EMO.

Jürgen Teich, Frank Hannig, Vahid Lari, 2013, TODE.

Jürgen Teich, Markus Köster, J. Teich, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Jürgen Teich, Andreas Becher, Daniel Ziener, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Jürgen Teich, Frank Hannig, Moritz Schmid, 2013, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig).

Jürgen Teich, Stefan Wildermann, Daniel Ziener, 2013, Des. Autom. Embed. Syst..

Jürgen Teich, Weng-Fai Wong, Tobias Ziermann, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Jürgen Teich, Ali Ahmadinia, Sándor P. Fekete, 2005, 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'05).

Jürgen Teich, Stefan Wildermann, Josef Angermeier, 2012, Int. J. Reconfigurable Comput..

Michael Glaß, Jürgen Teich, Piet Engelke, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jürgen Teich, Deepak Gangadharan, Frank Hannig, 2014, 2014 48th Asilomar Conference on Signals, Systems and Computers.

Jürgen Teich, Eckart Zitzler, Shuvra S. Bhattacharyya, 2000, Proceedings of the 2000 Congress on Evolutionary Computation. CEC00 (Cat. No.00TH8512).

Jürgen Teich, Joachim Keinert, J. Teich, 2011 .

J. Teich, M. Bednara, J. Gathen, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Jürgen Teich, Marcus Bednara, Joachim von zur Gathen, 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

Christian Haubelt, Jürgen Teich, Dirk Koch, 2006, EURASIP J. Embed. Syst..

Jürgen Teich, Josef Angermeier, J. Teich, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Christian Haubelt, Jürgen Teich, Thilo Streichert, 2006, SBCCI '06.

M. Lukasiewycz, M. Glaß, C. Haubelt, 2007, EMO.

Christian Haubelt, Jürgen Teich, Sanaz Mostaghim, 2003, EMO.

Christian Haubelt, Jürgen Teich, Joachim Keinert, 2007, EURASIP J. Embed. Syst..

C. Haubelt, J. Teich, C. Haubelt, 2003, ASIC, 2003. Proceedings. 5th International Conference on.

Christian Haubelt, Jürgen Teich, Rolf Ernst, 2002, Embedded Processor Design Challenges.

Jürgen Teich, J. Teich, 2012, Proceedings of the IEEE.

Jürgen Teich, Lothar Thiele, Lee Z. Zhang, 1997, J. VLSI Signal Process..

Jürgen Teich, Lothar Thiele, Li Zhang, 1996, Proceedings of International Conference on Application Specific Systems, Architectures and Processors: ASAP '96.

J. Teich, G. Saake, David Broneske, 2018, Datenbank-Spektrum.

Jürgen Teich, Daniel Ziener, Christopher Dennl, 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.

Christian Haubelt, Jürgen Teich, Martin Streubühr, 2011, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Martin Lukasiewycz, Michael Glaß, Jürgen Teich, 2014, Embedded Systems Development, From Functional Models to Implementations.

Jürgen Teich, Lothar Thiele, Tobias Blickle, 1997, Proceedings of 5th International Workshop on Hardware/Software Co Design. Codes/CASHE '97.

Christian Haubelt, Jürgen Teich, Thomas Schlichter, 2005, 2005 IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05).

Christian Haubelt, Jürgen Teich, Sanaz Mostaghim, 2003 .

Jürgen Teich, Frank Hannig, Alexey Kupriyanov, 2004, SAMOS.

Lothar Thiele, Ulrich Arzt, J. Teich, 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

Lothar Thiele, J. Teich, J. Teich, 1991, 1991., IEEE International Sympoisum on Circuits and Systems.

Jürgen Teich, Lothar Thiele, L. Thiele, 1990 .

Jürgen Teich, Frank Hannig, Oliver Reiche, 2020, GPGPU@PPoPP.

Jürgen Teich, Stefan Wildermann, Tobias Ziermann, 2013 .

Christian Haubelt, Jürgen Teich, Dirk Koch, 2008, Organic Computing.