A. Kahng

发表

C. Alpert, A. Kahng, 1994, 31st Design Automation Conference.

Andrew B. Kahng, Lars W. Hagen, A. Kahng, 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

A. Kahng, L. Hagen, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Miodrag Potkonjak, Darko Kirovski, Andrew B. Kahng, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, ASP-DAC '00.

Andrew B. Kahng, Sudhakar Muddu, Kenneth D. Boese, 1994, Oper. Res. Lett..

A. Kahng, G. Robins, 1994 .

Dennis J.-H. Huang, C. Alpert, A. Kahng, 1997, Proceedings of the 34th Design Automation Conference.

Jason Cong, Cheng-Kok Koh, Andrew B. Kahng, 1998, TODE.

A. Kahng, J. Cong, Cheng-Kok Koh, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

A. Kahng, Jinjun Xiong, Lei He, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Andrew B. Kahng, Lars W. Hagen, A. Kahng, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Dennis J.-H. Huang, A. Kahng, 1997, ISPD '97.

A. Kahng, S. Muddu, D. Vidhani, 1999 .

Derong Liu, Andrew B. Kahng, Charles J. Alpert, 2018, ISPD.

Andrew B. Kahng, Igor L. Markov, Alex Zelikovsky, 1998, ISPD '98.

Jason Cong, Andrew B. Kahng, Gabriel Robins, 1991, 28th ACM/IEEE Design Automation Conference.

A. Kahng, C. Tsao, 1996 .

Andrew B. Kahng, Chung-Wen Albert Tsao, A. Kahng, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Jason Cong, Majid Sarrafzadeh, Andrew B. Kahng, 1994 .

Jason Cong, Andrew B. Kahng, Gabriel Robins, 1993 .

A. Kahng, Jinjun Xiong, Lei He, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, I. Măndoiu, Hongyu Chen, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, 2020, 2020 IFIP/IEEE 28th International Conference on Very Large Scale Integration (VLSI-SOC).

A. Kahng, S. Reda, 2005 .

A. Kahng, A. Caldwell, I. Markov, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

C. Alpert, A. Kahng, Jen-Hsin Huang, 1997 .

A. Kahng, T. Chan, Jiajia Li, 2013 .

Andrew B. Kahng, Sachin S. Sapatnekar, Jiang Hu, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, Chul-Hong Park, H. Yao, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

C. Alpert, A. Kahng, 1996 .

C. Alpert, A. Kahng, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

A. Kahng, I. Măndoiu, Hongyu Chen, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Kambiz Samadi, Kwangok Jeong, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

A. Kahng, Puneet Gupta, I. Măndoiu, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, Chung-Kuan Cheng, Hongyu Chen, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

A. Kahng, A. Zelikovsky, G. Robins, 2000, Proceedings 37th Design Automation Conference.

Andrew B. Kahng, Ion I. Mandoiu, Alex Zelikovsky, 2004, ISPD '04.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1994, ICCAD '94.

Andrew B. Kahng, A. Kahng, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Andrew B. Kahng, Sudhakar Muddu, A. Kahng, 1995, Proceedings of 1995 IEEE Multi-Chip Module Conference (MCMC-95).

Andrew B. Kahng, Sachin S. Sapatnekar, Jiang Hu, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, Puneet Gupta, I. Măndoiu, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, Chung-Kuan Cheng, I. Măndoiu, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

A. Kahng, H. Fatemi, J. P. D. Gyvez, 2020, 2020 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

A. Kahng, 1991, IJCNN-91-Seattle International Joint Conference on Neural Networks.

Radu Marculescu, Majid Sarrafzadeh, Vishwani D. Agrawal, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Majid Sarrafzadeh, Andrew B. Kahng, A. Kahng, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jason Cong, Majid Sarrafzadeh, Andrew B. Kahng, 1993, ISCAS.

A. Kahng, C. Tsao, 1997, Proceedings of the 34th Design Automation Conference.

A. Kahng, A. Caldwell, I. Markov, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

T. Chan, P. Mulet, C. Alpert, 1997, ISPD.

A. Kahng, A. Zelikovsky, S. Vaya, 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

A. Kahng, A. Kennings, A. Caldwell, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

Andrew B. Kahng, Puneet Sharma, Alex Zelikovsky, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Andrew B. Kahng, Ion I. Mandoiu, Alex Zelikovsky, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Andrew B. Kahng, Ion I. Mandoiu, Alex Zelikovsky, 2018, Handbook of Approximation Algorithms and Metaheuristics.

A. Kahng, Swamy Muddu, 2008, Photomask Japan.

Andrew B. Kahng, Grant Martin, A. Kahng, 2005, IEEE Des. Test Comput..

Andrew B. Kahng, A. Kahng, 2004 .

Andrew B. Kahng, Kambiz Samadi, A. Kahng, 2008, Handbook of Algorithms for Physical Design Automation.

Puneet Gupta, Andrew B. Kahng, Ion I. Mandoiu, 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A. Kahng, I. Măndoiu, P. Gupta, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

A. Kahng, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Andrew B. Kahng, Hayoung Kim, Chung-Kuan Cheng, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Dirk Stroobandt, Phillip Christie, 2000 .

A. Kahng, N. Muralimanohar, N. Jouppi, 2012 .

A. Kahng, Chung-Kuan Cheng, D. Stroobandt, 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

A. Kahng, S. Muddu, 1996, 33rd Design Automation Conference Proceedings, 1996.

A. Kahng, J. Ida, J. Hutchby, 1964, PS: Political Science & Politics.

Sachin S. Sapatnekar, Grant Martin, A. Kahng, 2005, IEEE Des. Test Comput..

Andrew B. Kahng, Anurag Mittal, Ban Wong, 2008 .

Y. C. Pati, A. Kahng, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

A. Kahng, A. Zelikovsky, G. Robins, 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

A. Kahng, A. Zelikovsky, G. Robins, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

A. Kahng, I. Koutis, Ismail Bustany, 2022, Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design.

Andrew B. Kahng, Alex S. Fukunaga, Y. Uny Cao, 1995, Proceedings 1995 IEEE/RSJ International Conference on Intelligent Robots and Systems. Human Robot Interaction and Cooperative Robots.

C. Alpert, A. Kahng, S. Sapatnekar, 2001, ISPD '01.

Andrew B. Kahng, Chung-Wen Albert Tsao, A. Kahng, 1997, J. VLSI Signal Process..

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1993, 30th ACM/IEEE Design Automation Conference.

Andrew B. Kahng, Jiajia Li, Lutong Wang, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Andrew B. Kahng, A. Kahng, 2000, ISPD '00.

Andrew B. Kahng, Swamy Muddu, A. Kahng, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

Andrew B. Kahng, Lars W. Hagen, A. Kahng, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Andrew B. Kahng, Ilgweon Kang, A. Kahng, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Sherief Reda, A. Kahng, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Sudhakar Muddu, A. Kahng, 1999, Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).

Andrew B. Kahng, Sudhakar Muddu, A. Kahng, 1997 .

Andrew B. Kahng, Sudhakar Muddu, Kei Masuko, 1996, Proceedings of International Conference on Computer Aided Design.

Andrew B. Kahng, Sudhakar Muddu, A. Kahng, 1996, Proceedings 1996 IEEE Multi-Chip Module Conference (Cat. No.96CH35893).

David R. Karger, Andrew B. Kahng, Charles J. Alpert, 1995 .

Andrew B. Kahng, Sudhakar Muddu, A. Kahng, 1994, EURO-DAC '94.

Andrew B. Kahng, Gabriel Robins, Kenneth D. Boese, 1994, 31st Design Automation Conference.

Andrew B. Kahng, Gabriel Robins, Kenneth D. Boese, 1993, 30th ACM/IEEE Design Automation Conference.

Andrew B. Kahng, Gabriel Robins, Kenneth D. Boese, 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

Andrew B. Kahng, Gabriel Robins, Kenneth D. Boese, 1993 .

Jan-Ming Ho, Andrew B. Kahng, Yu-Chin Hsu, 1992 .

Andrew B. Kahng, Kenneth D. Boese, A. Kahng, 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

Jason Cong, Chak-Kuen Wong, Majid Sarrafzadeh, 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1997, ISPD '97.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1995, Integr..

Andrew B. Kahng, Dennis J.-H. Huang, Lars W. Hagen, 1995, 32nd Design Automation Conference.

Andrew B. Kahng, Siddhartha Nath, Bill Lin, 2015, IEEE Embedded Systems Letters.

Andrew B. Kahng, Siddhartha Nath, Bill Lin, 2013, 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Andrew B. Kahng, Siddhartha Nath, Bill Lin, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Siddhartha Nath, Bill Lin, 2012 .

Andrew B. Kahng, Seokhyeong Kang, Bangqi Xu, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andrew B. Kahng, Seungwon Kim, Kambiz Samadi, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Uday Mallappa, Lawrence Saul, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Andrew B. Kahng, Binshan Lin, Kambiz Samadi, 2010, IEEE Embedded Systems Letters.

Andrew B. Kahng, Chung-Kuan Cheng, Kambiz Samadi, 2010, SLIP '10.

Andrew B. Kahng, Bill Lin, Kambiz Samadi, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Andrew B. Kahng, Kambiz Samadi, Kwangok Jeong, 2009, 2009 International SoC Design Conference (ISOCC).

Puneet Gupta, Andrew B. Kahng, Sam Nakagawa, 2006, SPIE Advanced Lithography.

Andrew B. Kahng, Kwangok Jeong, A. Kahng, 2009, 2009 International SoC Design Conference (ISOCC).

Andrew B. Kahng, A. Kahng, 2002, IEEE Des. Test Comput..

A. Kahng, A. Zelikovsky, I. Măndoiu, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

Andrew B. Kahng, Gabriel Robins, A. Kahng, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Gabriel Robins, A. Kahng, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Andrew B. Kahng, T. C. Hu, Chung-Wen Albert Tsao, 1995, INFORMS J. Comput..

Philip H. W. Leong, A. Kahng, Chung-Kuan Cheng, 2017 .

Yu Cao, Andrew B. Kahng, Tianwei Chen, 1998 .

Byung Ro Moon, Inki Hong, A.B. Kahng, 1995, Proceedings of 1995 IEEE International Conference on Evolutionary Computation.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, JEAL.

A.B. Kahng, F.J. Kurdahi, C. Ramachandran, 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

Jan M. Rabaey, Farshad Firouzi, Bahar Farahani, 2017, IEEE Trans. Very Large Scale Integr. Syst..

John Sartori, Andrew B. Kahng, Seokhyeong Kang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Puneet Gupta, Andrew B. Kahng, A. Kahng, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Andrew B. Kahng, Hyein Lee, Lutong Wang, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Andrew B. Kahng, Hailong Yao, Chul-Hong Park, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Robert T. Greenway, Chul-Hong Park, 2008, Photomask Technology.

Andrew B. Kahng, A. Kahng, 2008, Photomask Technology.

Andrew B. Kahng, Hailong Yao, Chul-Hong Park, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jinjun Xiong, Lei He, Andrew Kahng, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2005, SPIE Photomask Technology.

Puneet Gupta, Andrew B. Kahng, Kambiz Samadi, 2005, Photomask Japan.

Puneet Gupta, Andrew B. Kahng, C.-H. Park, 2005, Photomask Japan.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Jinjun Xiong, Andrew B. Kahng, Lei He, 2005, SPIE Advanced Lithography.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2005, SPIE Advanced Lithography.

Chul-Hong Park, P. Gupta, A.B. Kahngt, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2004, SPIE Photomask Technology.

A. Kahng, Puneet Gupta, Yu Chen, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Andrew B. Kahng, A. Kahng, 2003, ISPD '03.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2002, 15th Annual IEEE International ASIC/SOC Conference.

Andrew B. Kahng, Norman P. Jouppi, Naveen Muralimanohar, 2012 .

Andrew B. Kahng, Puneet Gupta, A. Kahng, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Andrew B. Kahng, Kambiz Samadi, A. Kahng, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A.B. Kahng, A. Kahng, 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.

A. Kahng, 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.

Puneet Gupta, Andrew B. Kahng, Kambiz Samadi, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Yu Chen, Alex Zelikovsky, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Yu Chen, Alex Zelikovsky, 2002, ISPD '02.

Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, 2000, Proceedings 37th Design Automation Conference.

Andrew B. Kahng, Stefanus Mantik, A. Kahng, 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

Puneet Gupta, Andrew B. Kahng, Tuck-Boon Chan, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Vidya A. Chhabria, A. Kahng, S. Sapatnekar, 2022, 2022 ACM/IEEE 4th Workshop on Machine Learning for CAD (MLCAD).

Andrew B. Kahng, Uday Mallappa, Lawrence Saul, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Siddhartha Nath, Mulong Luo, 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Andrew B. Kahng, Lutong Wang, Bangqi Xu, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Mateus Fogaça, Sachin S. Sapatnekar, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Andrew B. Kahng, Kun Young Chung, Siddhartha Nath, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

A. Kahng, G. Robins, 1991, Pattern Recognit. Lett..

Andrew B. Kahng, Gabriel Robins, A. Kahng, 2022 .

Andrew B. Kahng, Jiajia Li, Ajay Kapoor, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Miodrag Potkonjak, Andrew B. Kahng, Igor L. Markov, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Puneet Sharma, Rasit Onur Topaloglu, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2004, Proceedings. 41st Design Automation Conference, 2004..

A.B. Kahng, A.S. Fukunaga, A. Kahng, 1995, Proceedings of 1995 IEEE International Conference on Evolutionary Computation.

Andrew B. Kahng, Hyein Lee, Lutong Wang, 2015, SPIE Photomask Technology.

Yu Cao, Dennis Sylvester, Andrew B. Kahng, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, 1999, VLSI Design.

S. Muddu, E. Sarto, A. Kahng, 1998, Proceedings Design, Automation and Test in Europe.

Miodrag Potkonjak, Gang Qu, Andrew B. Kahng, 1999, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Miodrag Potkonjak, Andrew B. Kahng, Hyun-Jin Choi, 2004 .

Miodrag Potkonjak, Andrew B. Kahng, Hyun-Jin Choi, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

Miodrag Potkonjak, Andrew B. Kahng, Igor L. Markov, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Yervant Zorian, Andrew B. Kahng, Mike Rodgers, 2004, Computer.

Yervant Zorian, Andrew B. Kahng, William H. Joyner, 2002, Computer.

Andrew B. Kahng, Li-Shiuan Peh, Kambiz Samadi, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Andrew B. Kahng, Rajeev Balasubramonian, Naveen Muralimanohar, 2017, ACM Trans. Archit. Code Optim..

Andrew B. Kahng, Xu Xu, A. Kahng, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, José L. Abellán, Ajay Joshi, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Siddhartha Nath, Wei-Ting Jonas Chan, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Andrew B. Kahng, Stefanus Mantik, A. Kahng, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Andrew B. Kahng, A. Kahng, 1998, ISPD '98.

Andrew B. Kahng, A. Kahng, 1998 .

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Michael C. Smayling, Andrew B. Kahng, Robert T. Greenway, 2009, Advanced Lithography.

Ajay Joshi, Andrew B. Kahng, Vaishnav Srinivas, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

A.B. Kahng, Bao Liu, I.I. Mandoiu, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Siddhartha Nath, Kambiz Samadi, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Andrew B. Kahng, Chung-Kuan Cheng, Ilgweon Kang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Mateus Fogaça, Ricardo Reis, 2019, ASP-DAC.

A. Kahng, 2018, Proceedings of the 55th Annual Design Automation Conference.

Andrew B. Kahng, Sherief Reda, Yongseok Cheon, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 1999, ISPD '99.

Andrew B. Kahng, Ion I. Mandoiu, Feodor F. Dragan, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, A. Kahng, 2010, IEEE Design & Test of Computers.

Andrew B. Kahng, Seokhyeong Kang, A. Kahng, 2012, DAC Design Automation Conference 2012.

Andrew B. Kahng, Seokhyeong Kang, Bongil Park, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Li-Shiuan Peh, Kambiz Samadi, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andrew B. Kahng, Charles J. Alpert, So-Zen Yao, 1999, Discret. Appl. Math..

Andrew B. Kahng, Vaishnav Srinivas, A. Kahng, 2011, International Workshop on System Level Interconnect Prediction.

John P. Hayes, Andrew B. Kahng, Armin Alaghi, 2017, ACM J. Emerg. Technol. Comput. Syst..

Andrew B. Kahng, Alex Zelikovsky, Gabriel Robins, 1997, ISPD '98.

Andrew B. Kahng, Norman P. Jouppi, Naveen Muralimanohar, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Andrew B. Kahng, Michael T. Niemier, Xiaobo Sharon Hu, 2004, Proceedings. 41st Design Automation Conference, 2004..

Yih-Lang Li, Andrew B. Kahng, Jianli Chen, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Andrew B. Kahng, Sachin S. Sapatnekar, Hadi Esmaeilzadeh, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

A. Kahng, Chung-Kuan Cheng, Bill Lin, 2022, ACM Trans. Design Autom. Electr. Syst..

A. Kahng, 2021, ISPD.

Andrew B. Kahng, Igor L. Markov, Sherief Reda, 2004, GLSVLSI '04.

Jan M. Rabaey, Kurt Keutzer, Alberto Sangiovanni-Vincentelli, 2001, Proc. IEEE.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 1999, ALENEX.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 1998, Proceedings Eleventh Annual IEEE International ASIC Conference (Cat. No.98TH8372).

C. Alpert, A. Kahng, 1996, IEEE Trans. Very Large Scale Integr. Syst..

Alex Fukunaga, Andrew B. Kahng, D.J.-H. Huang, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

Andrew B. Kahng, Gabriel Robins, Kenneth D. Boese, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

A.B. Kahng, L.W. Hagen, J.-H. Huang, 1995, Proceedings of EURO-DAC. European Design Automation Conference.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Andrew B. Kahng, L. Hagen, A. Kahng, 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

Jason Cong, Andrew B. Kahng, Lars W. Hagen, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1999, VLSI Design.

T. C. Hu, B. A. McCoy, M. F. Jukl, 1998 .

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, VLSI Design.

Igor L. Markov, Jens Lienig, Andrew B. Kahng, 2011 .

Jin Hu, Andrew B. Kahng, Igor L. Markov, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Andrew B. Kahng, Hailong Yao, Kwangok Jeong, 2009, 2009 10th International Symposium on Quality Electronic Design.

Susmita Dey Manasi, A. Kahng, S. Sapatnekar, 2023, 2308.12120.

Andrew B. Kahng, Hyein Lee, Hamed Fatemi, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Jose Pineda de Gyvez, Jiajia Li, 2019, Integr..

A. Kahng, Chung-Kuan Cheng, Zhiang Wang, 2023, ISPD.

Andrew B. Kahng, Siddhartha Nath, Seung-Soo Han, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andrew B. Kahng, Seokhyeong Kang, Hyein Lee, 2013, 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Puneet Gupta, Andrew B. Kahng, Tuck-Boon Chan, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andrew B. Kahng, Kenneth D. Boese, A. Kahng, 1993, 1993 IEEE International Symposium on Circuits and Systems.

Andrew B. Kahng, A. Kahng, 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

Andrew B. Kahng, David Z. Pan, Siddhartha Nath, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Andrew B. Kahng, David Z. Pan, Siddhartha Nath, 2015 .

Andrew B. Kahng, A. Kahng, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Andrew B. Kahng, Siddhartha Nath, Kambiz Samadi, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Gang Qu, Andrew B. Kahng, Yu Chen, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Andrew B. Kahng, Dennis J.-H. Huang, Chung-Wen Albert Tsao, 1995, 32nd Design Automation Conference.

Andrew B. Kahng, Robert B. Ellis, Yuhong Zheng, 2003, SPIE Advanced Lithography.

A. Kahng, 2023, IEEE Design & Test.

Sachin S. Sapatnekar, Andrew B. Kahng, Uday Mallappa, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Andrew B. Kahng, Chia-Tung Ho, A. Kahng, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Andrew B. Kahng, Yi Cao, Abinash Roy, 2019, ASP-DAC.

Andrew B. Kahng, A. Kahng, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Andrew B. Kahng, Sherief Reda, A. Kahng, 2006, SLIP '06.

Andrew B. Kahng, Sherief Reda, Qinke Wang, 2005, ISPD '05.

Andrew B. Kahng, Bangqi Xu, Lutong Wang, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Lutong Wang, Bangqi Xu, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Andrew B. Kahng, Tajana Simunic, Ayse K. Coskun, 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.

Andrew B. Kahng, Ajay Joshi, Ayse K. Coskun, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Andrew B. Kahng, Christopher J. Progler, Kwangok Jeong, 2011, Photomask Japan.

Andrew B. Kahng, A. Kahng, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Andrew B. Kahng, Saiful A. Mojumder, Ajay Joshi, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Luca P. Carloni, Andrew B. Kahng, Puneet Sharma, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Andrew B. Kahng, Puneet Sharma, Rasit Onur Topaloglu, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Miodrag Potkonjak, Andrew B. Kahng, Igor L. Markov, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Andrew B. Kahng, Dennis J.-H. Huang, A. Kahng, 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1995 .

Andrew B. Kahng, Dennis J.-H. Huang, A. Kahng, 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

Andrew B. Kahng, Dennis S. Sylester, A. Kahng, 2004 .

Andrew B. Kahng, Igor L. Markov, Andrew A. Kennings, 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

Jason Cong, Andrew B. Kahng, Los Angeles, 1992 .

Andrew B. Kahng, Sherief Reda, Xu Xu, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Andrew B. Kahng, Chung-Wen Albert Tsao, Kenneth D. Boese, 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

Andrew B. Kahng, Byung Ro Moon, A. Kahng, 1995, ICGA.

Andrew B. Kahng, Ronald Collett, Ton. H. van de Kraats, 2001, IEEE International Symposium on Quality Electronic Design.

Jason Cong, Chak-Kuen Wong, Majid Sarrafzadeh, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

A. Kahng, 2020, After the Nobel Prize 1989-1994.

Andrew B. Kahng, A. Kahng, 2004 .

Andrew B. Kahng, Byung Ro Moon, Inki Hong, 1997, J. Heuristics.

Andrew B. Kahng, Qinke Wang, A. Kahng, 2006, ISPD '06.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2000, Proceedings 37th Design Automation Conference.

Andrew B. Kahng, Charles J. Alpert, Andrew E. Caldwell, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jason Cong, Andrew B. Kahng, Gabriel Robins, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Gabriel Robins, A. Kahng, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, A. Kahng, 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Andrew B. Kahng, Gabriel Robins, T. C. Hu, 1993, IEEE Trans. Robotics Autom..

Andrew B. Kahng, A. Kahng, 2003, IEEE Design & Test of Computers.

Joshua N. Cooper, Andrew B. Kahng, Robert B. Ellis, 2002, J. Comb. Theory, Ser. A.

A. Kahng, I. Măndoiu, Bao Liu, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Andrew B. Kahng, Puneet Sharma, Sherief Reda, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Andrew B. Kahng, Rasit Onur Topaloglu, A. Kahng, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Andrew B. Kahng, Puneet Sharma, Kambiz Samadi, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Andrew B. Kahng, Puneet Sharma, Swamy Muddu, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Sheldon X.-D. Tan, Andrew B. Kahng, Bao Liu, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Puneet Gupta, Andrew B. Kahng, Puneet Sharma, 2005, Sixth international symposium on quality electronic design (isqed'05).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2005, Sixth international symposium on quality electronic design (isqed'05).

A. Kahng, J. Cong, Cheng-Kok Koh, 1995, International Conference on Computer Aided Design.

Andrew B. Kahng, Chung-Wen Albert Tsao, A. Kahng, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Chung-Wen Albert Tsao, A. Kahng, 1994, EURO-DAC '94.

Andrew B. Kahng, Puneet Sharma, Swamy Muddu, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Igor L. Markov, Andrew E. Caldwell, 2002 .